Modelsim help using megacells

Hi all,

I am having trouble running a testbench in ModelSim which uses
megacells. I added megacells such as fifo_4kx16_dc.v and fifo_1kx16.v,
but when trying to simulate I get errors such as:

Error: (vsim-3033)
Z:/gnychis/fpga/usrp/fpga/megacells/fifo_4kx16_dc.v(89): Instantiation
of ‘dcfifo’ failed. The design unit was not found.

I can find dcfifo in the altera_mf library, and it builds just fine.
I’m not sure how linking goes in ModelSim? I added altera_mf to my
search and still get an error:

** Error: (vsim-3033)

Z:/gnychis/fpga/usrp/fpga/megacells/fifo_4kx16_dc.v(89): Instantiation
of ‘dcfifo’ failed. The design unit was not found.

Region: /tb_timestamps/rx_buffer/rx_usb_fifo

Searched libraries:

C:/altera/72sp2/quartus/eda/sim_lib/altera_mf

work

I’d greatly appreciate any help.

Thanks!
George

George N. wrote:

I can find dcfifo in the altera_mf library, and it builds just fine. I’m

I’d greatly appreciate any help.

I think I survived with: vsim -L altera_mf work.tb_timestamps

Thanks!
George

George N. wrote:

Z:/gnychis/fpga/usrp/fpga/megacells/fifo_4kx16_dc.v(89): Instantiation

Searched libraries:

C:/altera/72sp2/quartus/eda/sim_lib/altera_mf

work

I’d greatly appreciate any help.

I think I survived with: vsim -L altera_mf work.tb_timestamps

Took a little bit more work first though, thanks to Brian:

cd c:/altera/72sp2/quartus/eda/sim_lib
vlib lpm
vlib altera_mf
vlib altera_primitives
vlog -work lpm 220model.v
vlog -work altera_primitives altera_primitives.v

then finally,
vsim -L altera_mf work.tb_timestamps

  • George