Error running BBN 802.11b example: segmentation fault

Hi all,
I’m a BBN 802.11 & USRP2 beginner student. When I try to run the BBN
802.11b
python script bbn_80211b_tx.py I receive this message:

uwicore@uwicore-desktop:~/bbn_80211/usrp2_version/gr-bbn/src/examples$
./bbn_80211b_tx.py

gr_fir_ccf: using SSE
Network interface: eth0
USRP2 address: xx:xx:xx:xx:xx:xx (USRP2 MAC)
Using TX d’board id 0x0060
Tx baseband frequency: 2.4G
Tx DUC frequency: 0
Tx residual frequency: 0
Tx interpolation rate: 4
Samples per data bit: 25
segmentation fault

Exploring the code from bbn_80211b_tx.py, the example works fine until
it
has to start the flow graph. Do you know what could be happening?

  • Juan Ramon Gutierrez

Your guess is probably as good as ours without more info from the
segmentation fault :slight_smile: Enable core dumps and usb GDB to see where you
crashed.

  • George

On Wed, Dec 9, 2009 at 10:58 AM, Juan Ramon Gutierrez